Top 20 TCL syntax helpful to improve TCL scripting skill for VLSI Engineers

One scripting language without which it will be very difficult to survive in VLSI Industry, that would definitely  be TCL (Tool Command Language). TCL is widely used everywhere in the VLSI industry because many tools are based on the tcl. We can directly interact with the tool using tcl CLI (Command Line Interpreter).  It has … Read more

Interview questions for experienced Physical Design Engineer, Question set – 9

  Code: CDN4Y072021PD     Experience level: 4 Year Profile: Physical Design Engineer 1. Introduction and physical design experience 2. What major differences have you observed in the 7nm and 14nm process nodes? 3. What is the functionality of this circuit? (He drawn schematic in paint) 4. Do you think, is there any issue with the … Read more