Code: EXIM4Y062021PD
Experience level : 3 years
-
-
- Brief Introduction and major projects?
- Tell me the most challenging part of your recent project
- How does the lockup latch help to fix hold violations?
- If we add a lockup latch, it might violate the setup? How will we fix it further?
- How did you fix SigEM? What are patch wires?
- What CTS constraints have you used?
- How did you fix the setup violation?
- Apart from setup and hold, what other checks do we perform in timing signoff?
- What are the PV checks?
- What are the sanity checks we do before starting PnR?
-
-
- What are the reports of synthesis we check before PnR?
- What are the physical cells we have used in PD and what are the uses of all those?
- What is the latch-up issue and how well tap cells prevent latchup?
- What is the endCap cell and what is the purpose of using that?
- What is Dcap Cell and why do we use it?
- What is the antenna effect?
- What are the ways to fix the antenna effect?
- How do antenna diodes help to fix the antenna violations?
- If we have timing criticality and we can’t use antenna diodes or floating gates, How can we fix the antenna?
- If antenna violation is already the highest metal layer and we can use higher metal for metal hopping, how will fix the antenna?
- How will you fix the antenna violations on via?
- What is a metal cut layer?
- What is the crosstalk delay?
- What is the crosstalk noise?